• 找到相关文档约5篇, 耗时0.7s vhdl10进制 - 文档搜索结果预览与免费下载
    • 文档格式:ppt 更新日期:2006-04-05
      PowerPoint幻灯片 进制数字调制系统
      文档预览: 在相同的信息传输速率下,多进制码元传输速率比二进制低.增大码元宽度,会增加码元的能量,并能减少由于信道特性引起的码间干扰的影响.在相同的噪声下,多进制数字调制... 点击下载
    • 文档格式:ppt 更新日期:2011-10-21
      PowerPoint幻灯片 第十三章 VHDL 语言描述数字系统
      文档预览: 第十三章 VHDL 语言描述数字系统本章介绍用 VHDL 描述硬件电路的一些基本手段和基本方法。13.1 VHDL 语言的基本结构VHDL 语言是美国国防部在 20 世纪 80 年代初... 点击下载
    • 文档格式:ppt 更新日期:2010-11-02
      PowerPoint幻灯片 第2章VHDL词法基础
      文档预览: 第2章VHDL词法基础2.1 标识符 2.2 数据对象 2.3 数据类型 2.4 类型转换 2.5 词法单元 2.6 运算符与操作符 2.7 属性标识符规则是VHDL语言中符号书写的一般规则。不仅对... 点击下载
    • 文档格式:ppt 更新日期:2010-01-03
      PowerPoint幻灯片 进制到BCD码的转换
      文档预览: 二进制到BCD码的转换实验要求1.将给定的一个二进制数,转换成二十进制(BCD)...mov Result+1, a ; 余数除以 10, 得十位数mov Result+2, b ; 余数为个位数retS 点击下载
    • 文档格式:ppt 更新日期:2003-12-01
      PowerPoint幻灯片 进制数的运算
      文档预览: 逻辑非运算是逻辑否定的意思,用二进制进行逻辑运算就是"求反"操作.例:逻辑运算练习:逻辑运算在变量上加"—"1 = 00 = 1100=... 点击下载
    共搜索到5篇文档 10篇/页 1/1
  • 您可能感兴趣的
  • 10进制计数器vhdl  vhdl  vhdl语言  vhdl教程  vhdl语言100例详解  vhdl程序  vhdl实例  vhdl语言入门教程  eda技术与vhdl