• 找到相关文档约11篇, 耗时0.11s led实验报告 - 文档搜索结果预览与免费下载
    • 文档格式:doc 更新日期:2008-12-09
      Word文档 电工电子实验报告
      文档预览: 通过在硬件上的实验可发现:在示波器上可看到脉冲宽度是随时间线性加宽或变窄,但LED亮度并不是线性变化,当占空比很小时可明显感觉到其亮度的变化,但脉冲宽度大于... 点击下载
    • 文档格式:doc 更新日期:2008-09-02
      Word文档 硬件实验报告
      文档预览: 实验线路与原理框图实验步骤实验的程序实验讨论实验报告内容:(按实验报告要目的6个... mov LED, c ljmp Loop end6.实验讨论计数器实验中内部计数器起计数器的作用... 点击下载
    • 文档格式:doc 更新日期:2005-04-02
      Word文档 EDA实验报告|
      文档预览: EDA实验报告| 实验一:全加器设计实验目的:通过实验初步了解EDA的基本概念;...LED2(co17)010▲100▲110▲001▲011▲101▲111▲▲... 点击下载
    • 文档格式:doc 更新日期:2008-05-02
      Word文档 eda综合实验报告
      文档预览: eda综合实验报告交通灯控制器院系:计算机科学与技术...ieee.std_logic_1164.all;use ieee.std_logic_...选通模块:该模块是为节省资源而设的,实验中有四个LED七段数 点击下载
    • 文档格式:doc 更新日期:2010-11-05
      Word文档 微机原理与接口技术课程实验报告
      文档预览: 课程实验报告年级专业 姓名 学号 实验一 基本操作一...实验三 P1口流水灯实验一,实验目的 掌握对网络实验室51单片机系统P1口LED灯的...ACALL DEL MOV P1,#06DH  点击下载
    • 文档格式:pdf 更新日期:2009-09-02
      PDF文档 入门系列实验教程点亮
      文档预览: 入门系列实验教程——点亮 LED1. 实验任务点亮发光...ieee.std_logic_1164.all; use ieee.std_logic_...图1.7 新建工程 EDA 工具设置对话框 (7)单击图 1.7 中 点击下载
    • 文档格式:pdf 更新日期:2008-01-08
      PDF文档 华恒ARM9嵌入式教学实验指导书
      文档预览: 'A'被释放时,键盘将发送F0H给计算机,报告有键被释放,随后再发送1CH,说明被释放...拉高),同时把计数器countled清零.这样计数器countled每计满6次,实验板上的6个... 点击下载
    共搜索到11篇文档 10篇/页 1/2
  • 您可能感兴趣的
  • 3528led死灯实验报告  led点阵实验报告邮件  led点阵实验报告信箱  led点阵实验报告mail  led点阵实验报告邮箱  plc实验led  单片机led显示实验  dsp5509led闪烁实验  led点阵实验邮箱