• 找到相关文档约16篇, 耗时0.2s alteraacex - 文档搜索结果预览与免费下载
    • 文档格式:pdf 更新日期:2009-03-02
      PDF文档 University/College
      文档预览: use IEEE.std_Logic_1164.all; use IEEE.numeric_std.all; ENTITY top IS port ( clk : reset : bC : bD : bE : bF : buzzer dpC dpD dpE dpF ... 点击下载
    • 文档格式:pdf 更新日期:2006-02-02
      PDF文档 microcontrollore
      文档预览: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_signed.all;Appendice Centity int_rabbit_vme is port(clk : in std_logic; rst : in ... 点击下载
    • 文档格式:pdf 更新日期:2011-11-02
      PDF文档 的辐射损伤实验
      文档预览: 摘要: 本文研究了 Altera 公司 ACEX 1K 系列 FPGA EP1K30TC144-3 在γ射线和 14Mev, 2.5MeV 中子照射下的辐射影响,对得到的试验结果给出了合理的分析和评估.... 点击下载
    • 文档格式:pdf 更新日期:2005-03-04
      PDF文档 literature@alteracom
      文档预览: If your system does not allow for a VCCPD ramp-up time of 100 ms or less, you must hold nCONFIG low until all power supplies are stable.... 点击下载
    • 文档格式:pdf 更新日期:2010-06-01
      PDF文档 literature@alteracom
      文档预览: Each page must have configuration files for all FPGAs in your system that are connected to that enhanced configuration device.... 点击下载
    • 文档格式:pdf 更新日期:2002-12-02
      PDF文档 资讯工程学系专题报告
      文档预览: 资逢讯工程大学系学专题报告晶片烧录方法之研究 A l t e r a2 目录Chap1 导论…1 1.1 动机…1 1.2 目的…………1 Chap2 烧录方法简介……………... 点击下载
    • 文档格式:ppt 更新日期:2008-06-09
      PowerPoint幻灯片 Telecommunications
      文档预览: Our system on a programmable chip solution covers all areas of communications from Telecom-- such as Central office switches, SONET/SDH technologies; ... 点击下载
    • 文档格式:pdf 更新日期:2005-01-01
      PDF文档 litreq@alteracom
      文档预览: Specify the Input data type of all the input ports of the VCDSink block.1–36 DSP Builder Reference ManualDSP Builder Version 3.0.0... 点击下载
    • 文档格式:pdf 更新日期:2007-12-02
      PDF文档 嵌入式控制科技
      文档预览: set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED")(注意,请 , 务必将未用管脚定义为"As inputs, tri-stated",因为必须确保该核心... 点击下载
    共搜索到16篇文档 10篇/页 1/2
  • 您可能感兴趣的
  • acex1k  horacex  acex2  altera  altera官网  alterafpga  altera论坛  alteraftp  modelsimaltera  altera驱动