• vhdl语言100例详解 > VHDL:超高速集成电路描述语言
  • VHDL:超高速集成电路描述语言

    免费下载 下载该文档 文档格式:PDF   更新时间:2008-03-02   下载次数:0   点击次数:1
    文档基本属性
    文档语言:Simplified Chinese
    文档格式:pdf
    文档作者:郭文杰
    关键词:
    主题:
    备注:
    点击这里显示更多文档属性
    6.111演讲5 VHDL:超高速集成电路描述语言: 所有的VHDL文件都包括两个部分:结构体和实体
    --马萨诸塞州(过时的)停车灯例子
    library 描述要参考的基本库的语句 use 对大多数情况的许多重要项目建立定义,使用的时候"不予更改".
    Library ieee; use ieee.std_logic_1164.all; 其他库也是会用到的,而且你也有机会做你自己的库.
    实体声明会十分复杂,饱含很多信息. 称输入/输出信号为端口.这些信号有模式和类型. 信号的模式可以是in ,out, buffer或者inout in和out是单向的 buffer有点像out,但是可以在结构体内利用 inout是三态的(双向) 注意向量(多位)信号是如何处理的 Entity black_box IS PORT (clk,rst : IN std_logic; d :IN std_logic_vector(7 downto 0); q :IN std_logic_vector(7 downto 0); co :OUT std_logic); END black_box;
    我们要避免使用buffer类型
    要注意结构体内信 号的附加声明. 注意结构体内的名 字可以不是唯一 的,要易读.
    实际上要
    信号的类型定义在 Library ieee; use ieee.std_logic_1164.all; (VHDL由IEEE标准1164定义) std_logic类型可以取值为: U 未初始化 X 未知 0 0 1 1 Z 三态(必须是大写) W 弱未知 L 弱0 H 弱1 - 无关项 注意在大多数情况下我们不需要使用这么多的值
    报告文件摘录(*.rpt)
    报告文件中的更多内容:如果你没有设置管脚号,编译器会完成.
    配置管脚的简单做法: 首先不配置管脚. 让galaxy挑出它们,而且连线到管脚. 从报告文件中找出管脚. 提交管脚避免重新连线. 点击Files->Annotate. 在弹出窗口之后就会产生一个xxx.ctl的文件,它可以与xxx.vhd 一起使用了. 或者你可以用pin_numbers属性(在下一个幻灯片) 注意不要把管脚号放在这,它与xxx.vhd文件中的pin_avoid属性冲突.
    属性提供关于VDHL构造的信息,比如 实体 结构体 类型 信号 管脚号映射外部信号到指定的管脚 要避免的管脚意味着不能使用的特定的管脚 查看/mit/6.111/cpld/sources中的xxx.vhd文件,获得如何选择与/或 要避免的管脚指导
    使用pin_avoid属性的例子
    这里是控制文件(.ctl)的内容
    VHDL实现举例. 问题是设计一个加法器:可用两个"半加法器"和一些逻辑完成一个 "全加法器".现在开始半加法器: 算术运算:一位加法
    这是用VHDL实现的半加法器.
    --这是实体
    用并行语句实现与门 用并行语句实现异或门
    这些语句是"并行的",意味着它们是同时执行的,而且没有优先级.
    现在如何做全加法器
    用2个半加法器的级联 和一个或门就可以实现 它
    算数运算:全加法器:包括进位输入
    通过端口映射将元件实例化,从而实现全加法器.
    两个半加法器的结构化实现
    这就是这个简单问题如何编译和仿真的过程. setup 6.111 Galaxy &
    现在使用菜单files->add
    这时增加文件:单击左边窗口的文件,然后中间上面 的箭头.增加所有要编译的文件.单击OK.
    项目窗口如下,文件已经加好了. 下一步是选择器件和选择顶层文件,以及设置.
    器件选择 :22v10 就可以完 成需要的 逻辑.选 择一个包 (如果 只仿真的 话,则不 需要) ,然后选 择仿真方 案.
    这一步是编译的准备:器件和顶层设计文件已经选好. 使用"聪明的"编译器:程序确定要做什么
    这是编译器界面.如果有错误,它们将出现在这里.注意我们在后面的 几步有一错误,该步骤是为了设置NOVA.不要担心:这只是显示上的 不恰当.注意有滚动条:在右边可以看见滚动条.
    这是Nova的开始界面,它是一个简单的仿真器.从项目窗口或者 从命令行调用"tools".使用file->open
    我们必须为仿真选一个.jed (JEDEC)文件.在这种 情况下,从顶层文件获得名 字的正确文件是fullad.jed.

    下一页

  • 下载地址 (推荐使用迅雷下载地址,速度快,支持断点续传)
  • 免费下载 PDF格式下载
  • 您可能感兴趣的
  • vhdl语言100pdf  vhdl例化  vhdl语言入门教程  vhdl语言编程工具  vhdl语言编译器  vhdl语言实现  vhdl语言教程  vhdl语言标准  vhdl语言入门