• vhdl数字钟周算法 > 电子时钟VHDL程序与仿真
  • 电子时钟VHDL程序与仿真

    免费下载 下载该文档 文档格式:DOC   更新时间:2004-04-01   下载次数:0   点击次数:1
    文档基本属性
    文档语言:Simplified Chinese
    文档格式:doc
    文档作者:Billgates
    关键词:
    主题:
    备注:
    点击这里显示更多文档属性
    8.20 电子时钟VHDL程序与仿真
    1. 10进制计数器设计与仿真
    (1)10进制计数器VHDL程序
    --文件名:counter10.vhd.
    --功能:10进制计数器,有进位C
    --最后修改日期:2004.3.20
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    use IEEE.STD_LOGIC_ARITH.ALL;
    use IEEE.STD_LOGIC_UNSIGNED.ALL;
    entity counter10 is
    Port ( clk : in std_logic;
    reset : in std_logic;
    din : in std_logic_vector(3 downto 0);
    dout : out std_logic_vector(3 downto 0);
    c:out std_logic);
    end counter10;
    architecture Behavioral of counter10 is
    signal count : std_logic_vector(3 downto 0);
    begin
    dout <= count;
    process(clk,reset,din)
    begin
    if reset='0'then
    count <= din ;
    c<='0';
    elsif rising_edge(clk) then
    if count = "1001" then
    count <= "0000";
    c<='1';
    else
    count <= count+1;
    c<='0';
    end if;
    end if;
    end process;
    end Behavioral;
    10进制计数器仿真
    2. 6进制计数器设计与仿真
    (1)6进制计数器VHDL程序
    --文件名:counter6.vhd.

    下一页

  • 下载地址 (推荐使用迅雷下载地址,速度快,支持断点续传)
  • 免费下载 DOC格式下载
  • 您可能感兴趣的
  • 指纹采集算法vhdl代码  数字钟的设计报告  数字钟机芯  数字钟设计论文  单片机数字钟设计报告  数字钟  数字钟电路图  多功能数字钟  数字钟课程设计仿真图