8.20 电子时钟VHDL程序与仿真
1. 10进制计数器设计与仿真
(1)10进制计数器VHDL程序
--文件名:counter10.vhd.
--功能:10进制计数器,有进位C
--最后修改日期:2004.3.20
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity counter10 is
Port ( clk : in std_logic;
reset : in std_logic;
din : in std_logic_vector(3 downto 0);
dout : out std_logic_vector(3 downto 0);
c:out std_logic);
end counter10;
architecture Behavioral of counter10 is
signal count : std_logic_vector(3 downto 0);
begin
dout <= count;
process(clk,reset,din)
begin
if reset='0'then
count <= din ;
c<='0';
elsif rising_edge(clk) then
if count = "1001" then
count <= "0000";
c<='1';
else
count <= count+1;
c<='0';
end if;
end if;
end process;
end Behavioral;
10进制计数器仿真
2. 6进制计数器设计与仿真
(1)6进制计数器VHDL程序
--文件名:counter6.vhd.
- vhdl数字钟周算法 > 电子时钟VHDL程序与仿真
-
电子时钟VHDL程序与仿真
下载该文档 文档格式:DOC 更新时间:2004-04-01 下载次数:0 点击次数:1文档基本属性 文档语言: Simplified Chinese 文档格式: doc 文档作者: Billgates 关键词: 主题: 备注: 点击这里显示更多文档属性 经理: 单位: Microsoft 分类: 创建时间: 上次保存者: 修订次数: 编辑时间: 文档创建者: 修订: 加密标识: 幻灯片: 段落数: 字节数: 备注: 演示格式: 上次保存时间:
- 下载地址 (推荐使用迅雷下载地址,速度快,支持断点续传)
- DOC格式下载
- 更多文档...
-
上一篇:本文由【学海网】www.xuehai.net 搜集整理。中文...
下一篇:唐宋历法中的交食周期与连分数算法
点击查看更多关于vhdl数字钟周算法的相关文档
- 您可能感兴趣的
- 指纹采集算法vhdl代码 数字钟的设计报告 数字钟机芯 数字钟设计论文 单片机数字钟设计报告 数字钟 数字钟电路图 多功能数字钟 数字钟课程设计仿真图
- 大家在找
-
- · 北京大学招生网
- · 天香十二亭
- · 医学影像学论文
- · 华为u8220刷机2.3rom
- · 东风压缩式垃圾车
- · 窃听风云2高清迅雷
- · 全站仪的使用
- · 电子商务论文摘要范文
- · 武钢转炉爆炸
- · 东胜区杨志忠
- · 物流实习日记30篇
- · 住宅楼建筑设计说明书范本
- · 厦门爱普生打印机维修
- · 气体流量计
- · 宝安体育馆怎么坐地铁
- · 机械cad图库
- · 教书育人学习心得
- · 免注册word转pdf
- · 加强企业管理的重要性
- · 拍拍助理使用
- · abs防抱死课件
- · 郑州到西安动车时刻表
- · 班级创新活动方案设计
- · 梦幻西游闪电辅助下载
- · 夏家三千金第二部80
- · 安卓指南针软件
- · 大学英语B英译汉
- · 北京东洋塑料制品厂
- · 内蒙古师范大学首页
- · arabiannights歌词
- 赞助商链接